Welcome![Sign In][Sign Up]
Location:
Search - PS2 FPGA

Search list

[VHDL-FPGA-Verilogsuccess

Description: 各种FPGA初级入门程序(已调试通过),包括计数器、流水灯、7段数码管显示以及PS2键盘接口驱动,采用VHDL语言编写,适合初学者参考-Various FPGA primary entry procedures (already debugged), including the counter, water light, 7 segment LED display and PS2 keyboard interface driver, using VHDL language, suitable for beginners reference
Platform: | Size: 1449984 | Author: 王玉强 | Hits:

[VHDL-FPGA-Verilogjianpan

Description: 基于FPGA的Verilog的控制PS2数字小键盘并在数码管显示相应的数字-Verilog FPGA based control of PS2 numeric keypad and digital display the corresponding number
Platform: | Size: 2048 | Author: 吕恋恋 | Hits:

[VHDL-FPGA-VerilogFPGAshiyan(17)

Description: FPGA入门系列实验教程——实验十七.LCD1602显示PS2键盘的键值-Getting Started with FPGA tutorial series of experiments- experiments seventh. LCD1602 display key PS2 keyboard
Platform: | Size: 483328 | Author: lutangshi | Hits:

[VHDL-FPGA-VerilogPS2_keyboard_A

Description: 配合fpga 来实现和ps2接口的键盘的连接,必须要用带ps2口的fpga开发板来实现-With the fpga to implement and ps2 keyboard interface connection, you must use the ps2 port with fpga development board to implement
Platform: | Size: 95232 | Author: 张强 | Hits:

[VHDL-FPGA-Verilogps2_5

Description: 赛灵思fpga ps2键盘的驱动程序,测试通过-fpga ps2
Platform: | Size: 4628480 | Author: 王明 | Hits:

[VHDL-FPGA-Verilogvirtual_keyboard

Description: 通过PS2口连接键盘与FPGA,按动1-7数字键达到虚拟电子琴的效果-PS2 keyboard port connect to the FPGA ,press the number keys 1-7 to reach the effect of the virtual keyboard
Platform: | Size: 491520 | Author: vivian | Hits:

[Embeded-SCM DevelopPS2Keyboard_EN2011

Description: ps2协议的详细说明和ps2键盘的VHDL程序以及说明。可预习于fpga-detailed description of the agreement and the ps2 keyboard ps2 VHDL procedures and instructions. Can preview the fpga
Platform: | Size: 473088 | Author: 吴章全 | Hits:

[VHDL-FPGA-Verilogps2verilog

Description: 本程序实现了基于FPGA的PS2键盘控制器,主机即FPGA读取键盘的键码然后解码输出到上位机(串口调试助手)显示,用户友好,调试方便,-This program implements the PS2 keyboard controller based on FPGA, the FPGA reads the keyboard master key code and then decode the output to the host computer (serial debugging assistant) shows, user-friendly and easy commissioning
Platform: | Size: 311296 | Author: 张扬 | Hits:

[VHDL-FPGA-VerilogPS2_v

Description: 1、本工程主要是设计一个键盘的控制模块,2、把键盘链接到实验板上,通过JTAG口把PS2.sof下载到FPGA后,在键盘上的输人则会在实验板上的数码管显示相应的ACSII码。-1, this project is to design a keyboard of the main control module, 2, the keyboard linked to the experimental board through the JTAG port to PS2.sof downloaded to the FPGA, the input on the keyboard will be in the experimental digital control board shows the corresponding ACSII code.
Platform: | Size: 241664 | Author: merlin | Hits:

[VHDL-FPGA-VerilogDE2_115_PS2_DEMO

Description: DE2-115开发板ps2接口驱动测试源码,对fpga开发者提供参考-DE2-115 development board test ps2 interface driver source, provide a reference for fpga developer
Platform: | Size: 239616 | Author: 谢帅 | Hits:

[VHDL-FPGA-Verilogteclado_depurado

Description: Verilog modelue to read a ps2 keyboard, designed to tested with a spartan 3 fpga, documetation is insadie the package
Platform: | Size: 269312 | Author: Omar Pont | Hits:

[VHDL-FPGA-VerilogPS2_DEMO

Description: FPGA实现PS2键盘操作的verilog源码-FPGA PS2
Platform: | Size: 113664 | Author: xuguo | Hits:

[VHDL-FPGA-Verilogps2verilog

Description: verilog hdl FPGA PS2时序控制接口源代码 很经典 很实用-verilog hdl FPGA PS2 timing control source code it is very useful and perfect
Platform: | Size: 37888 | Author: 杨泽钰 | Hits:

[VHDL-FPGA-VerilogLCD1602PS2

Description: fpga lcd上ps2的键值显示 基于fpga的综合实验 含代码-fpga lcd display and ps2 input
Platform: | Size: 348160 | Author: 韩玉青 | Hits:

[VHDL-FPGA-Verilogps_top

Description: verilog写ps2接口驱动程序,对状态机的描述。把键盘串行的13为数据转换为并行的8为数据,并储存在寄存器-The needle verilog write ps2 interface drivers, to the description of the state machine. The keyboard for data transfer of serial and parallel for the 8 for data, and stored in a register to xilinx fpga development verilog wrote with LCD interface drive, downloaded to the board can light LCD lamp
Platform: | Size: 4096 | Author: 张康 | Hits:

[VHDL-FPGA-Verilogps2_keyboard_test

Description: FPGA通过ps2接收键盘数据,然后把接收到的字母A到Z键值转换相应的ASII码,通过串口发送到PC机上。需要接键盘,还要用调试助手,下载程序后,在键盘上按下一个键,比如A,则在PC调试助手上可看到A-FPGA received by ps2 keyboard data, and then received the letters A through Z keys to convert the corresponding ASII code, sent through the serial port to a PC. To pick up the keyboard, but also with debugging assistant, download the program, press a key on the keyboard, such as A on the PC debugging assistant can see the A
Platform: | Size: 708608 | Author: 黄远豪 | Hits:

[VHDL-FPGA-Verilogps2verilog

Description: PS2接口的FPGA工程,经过测试,绝对可用。-PS2 port of the FPGA project, after testing, is absolutely available.
Platform: | Size: 339968 | Author: 李水军 | Hits:

[VHDL-FPGA-Verilogps2verilog

Description: 基于FPGA开发环境下的PS2实现入门源代码-Getting Started with source code based FPGA development environment under the PS2
Platform: | Size: 340992 | Author: 李民 | Hits:

[Other Embeded programRS232_PS2_Control

Description: Verilog语言编写的RS232控制模块以及RS232到PS2的通信接口模块。整个模块已经通过Virtex4的FPGA平台上的硬件仿真和验证。-Verilog HDL model for RS232 and PS2 interface communication control block. It includes the RS232 RX-TX model as well as PS2 model, and it have already been proven in FPGA virtex4 platform.
Platform: | Size: 100352 | Author: Alex Liu | Hits:

[Otherps2_lcd

Description: FPGA入门系列实验教程——LCD1602显示屏显示PS2键盘的键值-FPGA Starter series of experiments tutorial- LCD1602 display shows the the PS2 keyboard s key
Platform: | Size: 261120 | Author: 周舟 | Hits:
« 1 2 3 4 5 67 8 »

CodeBus www.codebus.net